$readmemb("fname", ID [, startadd [, stopadd]]); |
$readmemh("fname', ID [, startadd [, stopadd]]); |
*$sreadmemb(ID, startadd, stopadd {, string}); |
*$sreadmemh(iD, startadd, stopadd {, string}); |
$display[defbase]([fmstr,] {expr,}); |
$write[defbase] ([fmstr,] {expr,}); |
$strobe{defbase] ([fmstr,] (expr,}); |
$monitor[defbase]([fmtsr,] (expr,}); |
$fdispiay[defbase] (fileno, [fmstr,] {expr,}); |
$fwrite[defbase] (fileno, [fmstr,] {expr,}); |
$fstrobe (fileno, [fmstr,] {expr,}); |
$fmonitor(fileno, [fmstr,] {expr,}); |
fileno = $fopen("filename"); |
$fclose(fileno); |
defbase ::= h | b | o |
$stop | Interrupt |
$finish | Terminate |
*$save("fn") | Save current simulation |
*$incsave("fn") | Delta-save since last save |
*$restart("fn") | Restart with saved simulation |
*$input("fn") | Read commands from file |
*$log[("fn")] | Enable output logging to file |
*$nolog | Disable output logging |
*$key[("fn")] | Enable input logging to file |
*$nokey | Disable input logging |
*$scope(hiername) | Set scope to hierarchy |
*$showscopes | Scopes at current scope |
*$showscopes(1) | All scopes at & below scope |
*$showvars | Info on all variables in scope |
*$showvars(ID) | Info on specified variable |
*$countdrivers(net)>1 | driver predicate |
*$list[(ID)] | List source of [named] block |
$monitoron | Enable $monitor task |
$monitoroff | Disable $monitor task |
$dumpon | Enable val change dumping |
$dumpoff | Disable val change dumping |
$dumpfile("fn") | Name of dump file |
$dumplimit(size) | Max size of dump file |
$dumpflush | Flush dump file buffer |
$dumpvars(levels [{, MODID | VARID}]) | Variables to dump |
$dumpail | Force a dump now |
*$reset[(0)] | Reset simulation to time U |
*Sreset(1) | Reset and run again |
*$reset(0|1, expr) | Reset with reset-value |
*$reset_value | Reset_value of last $reset |
*$rese_count | # of times $reset was used |